Photoresist: Domestic alternative core material for semiconductors, leading the way to Hengqiang

Photoresist is one of the most restricted sectors in the field of materials by foreign investment, and is known as the "crown" of electronic chemicals.In recent years, the initial construction of China's photoresist industry chain has been completed, and the upstream and downstream have gradually opened up

Photoresist is one of the most restricted sectors in the field of materials by foreign investment, and is known as the "crown" of electronic chemicals.

In recent years, the initial construction of China's photoresist industry chain has been completed, and the upstream and downstream have gradually opened up. The downstream demand has expanded, driving the growth of the photoresist market.

According to data from China Business Intelligence Network, the size of China's photoresist market in 2022 was 9.86 billion yuan, a year-on-year increase of 5.68%# Photoresist # # Semiconductor # # Chip#

Follow Leqing and gain insight into the industrial landscape!

Overview of the photoresist industry

Photoresist is an important material for wafer manufacturing, also known as photoresist. It is a photosensitive material that changes its solubility after being irradiated or irradiated by ultraviolet light, electron beam, ion beam, X-ray, etc.

Changes in solubility occur under light irradiation, and the patterns on the mask can be transferred to the substrate through a series of steps such as exposure, development, and etching. Photoresist is a key electronic product in the fine processing technology of electronic products, widely used in fields such as semiconductors, liquid crystal displays (LCDs), printed circuit boards (PCBs), and so on.

Photoresist has photochemical sensitivity and can transfer designed micro patterns from the mask to the substrate to be processed through processes such as exposure, development, and etching.

According to the displayed effect, photoresist can be divided into positive photoresist and negative photoresist.

If the unexposed part dissolves in the developing solution during development, the resulting pattern is opposite to the mask plate and is called negative photoresist. The short chain molecules of the polymer in negative photoresist are cross-linked into long chain molecules due to light exposure, and the exposed part hardens and remains on the substrate, while the unexposed photoresist is removed.

If the exposed part dissolves in the developing solution during development, forming the same pattern as the mask plate, it is called positive photoresist. Positive photoresist will react and become a solvent under specific light irradiation, and the photoresist in the exposed part can be removed.

Due to the tendency of negative photoresist to deform and expand during development, the resolution can usually only reach 2 micrometers, making the application of positive photoresist more popular.

Source: Samsung

According to the application field, photoresists can be divided into semiconductor photoresists, LCD photoresists, and PCB photoresists.

At the domestic level, it can be seen that the proportion of PCB photoresist is the highest, while the proportion of semiconductor photoresist is the lowest, indicating that the self-sufficiency rate is still low.

Lithography is the core process of semiconductor manufacturing, which typically follows eight basic processes, including substrate preparation, semiconductor photoresist coating, soft baking, exposure, post exposure baking, development, hard baking, and development detection.

Moore's Law is approaching its limit, and advances in semiconductor manufacturing processes have led to a continuous reduction in the corresponding photolithography feature size (CD). The accompanying photoresist has also gradually shifted from G-line (436nm) to I-line (365nm) to KrF (248nm) to ArF (193nm) to F2 (157nm), thus meeting the requirements for higher integration in semiconductor manufacturing.

The expansion of global 8-inch/12-inch wafer factories will drive demand for KrF and ArF photoresists.

According to SEMI data, the global production capacity of 300mm (12 inch) wafers is expected to increase to 9.6 million pieces per month by 2026; Global semiconductor manufacturers are expected to increase the production capacity of 200mm wafers by 20% from 2021 to 2025, adding 13 new 200mm production lines, with a production capacity expected to exceed 7 million chips per month.

In the future, KrF and ArF photoresists will become the main competitive markets for domestic and foreign manufacturers.

According to TECHCET data, the market size of KrF/ArF photoresist in 2025 was $907/$1.072 billion, respectively.

Photoresist Industry Chain

| Photoresist Industry Chain

Upstream includes raw materials such as photosensitive resins, monomers, photoinitiators, and additives, while midstream includes the preparation of PCB photoresists, panel photoresists, and semiconductor photoresists. Downstream is the application of various photoresists.

The typical raw materials for photoresist include solvents, photoinitiators (including photosensitizers, photoacidogenic agents), film-forming resins, monomers, and other additives. The solvent content usually accounts for 50-90%, photoinitiators account for 1-6%, film-forming resins account for 10-40%, and monomers and additives account for less than 1%.

Resin is the core component of photoresist and the backbone of the photoresist. Photosensitive materials are photosensitive compounds in the composition of photoresists and are an important component of photoresists. The solvent is mainly used to disperse the components of the photoresist, so that the photoresist has good flowability.

Composition of photoresist:

Source: East China University of Science and Technology

The upstream raw material resin technology of photoresist in China is still at the G/I line level, which is the weakest link in the entire photoresist industry chain. The problem of "bottleneck" in high-end materials in China is imminent, and the localization of photoresist is accelerating.

The self-sufficiency rate of G/I line photoresist (436/365nm) is relatively high, with Beijing Kehua and Suzhou Ruihong being the main domestic suppliers.

Almost all KrF/ArF photoresists (248/193nm) are imported, and Beijing Kehua's 248nm has been certified by SMIC International, while others are still in the research and development stage.

In addition to raw materials, photoresist production also requires lithography machines for supporting testing. Stimulated by downstream demand, the global lithography machine market is growing rapidly.

The downstream mainly focuses on the application of photoresists in different fields, including PCB, flat panel display screens, semiconductors, and microelectromechanical systems.

The downstream semiconductor industry design of photoresists in China has entered the world's first tier, requiring more advanced ArF and EUV photoresists to meet the success factor of matching downstream markets and technologies.

Photoresist Industry Chain

Photoresist Industry Chain

Photoresist Market Pattern

From the transfer process of the semiconductor industry and the development history of photoresists, it can be seen that both semiconductors and photoresists originated in the United States, but Japanese manufacturers quickly occupied the market after the commercialization of KrF photoresists, ushering in a dominant era.

At present, the upstream photoresist industry remains in Japan, which still monopolizes the global high-end photoresist market with a market share of over 70%. The main leading manufacturers include Tokyo Yinghua, Japan JSR, and Sumitomo Chemical.

In 2021, Tokyo Yinghua's market share was 27%, ranking first in the world; Chemistry accounts for 17%, ranking second globally; JSR, Sumitomo Chemical, South Korea Dongjin, and Fuji Film respectively account for 13%, 12%, 11%, and 8% of the market share, with the top six in the industry accounting for 88% of the market share.

From the perspective of ArF photoresist, it is mainly occupied by Japanese and American companies, and the market concentration is higher. JSR, Shinyue Chemical, Tokyo Yinghua, Sumitomo Chemical, Fuji Film, and Dow Chemical respectively occupy 24%, 23%, 20%, 15%, 8%, and 4% of the market share, with industry CR6 accounting for 94%.

In the KrF photoresist market, Tokyo Yinghua, Shinetsu Chemical, JSR, and DuPont account for 85% of the market share.

In terms of EUV photoresist, among the top ten companies with patent layout, Japanese companies hold seven seats, and Japan has a very obvious technological advantage in the field of EUV photoresist.

Photoresist Industry Chain

Source: "Development Status of Semiconductor Photoresist Industry in China and Suggestions for Petrochemical Industry"

In recent years, domestic enterprises have also continued to make efforts to gradually enhance their technological research and development and market competitiveness in the field of photoresist.

At present, the g-line and i-line photoresists used in the manufacturing of integrated circuits for 6-inch wafers in China's semiconductor industry can basically achieve self-sufficiency, while the more high-end KrF and ArF photoresists are highly dependent on imports. The share of domestic semiconductor photoresist enterprises is only about 5%, and there is huge domestic substitution space.

Domestic photoresist suppliers mainly include Tongcheng New Materials, Jingrui Electric Materials, Yake Technology, Nanda Optoelectronics, etc. It is expected that with the completion of research and verification of KrF and ArF photoresists, domestic photoresists will enter the peak period of domestic substitution.

With the decreasing size of semiconductor circuit graphics, the transfer of the semiconductor industry to China, and the increasing demand for photoresist in photolithography processes, it is expected that the size of the photoresist market will grow rapidly.

Follow Leqing and gain insight into the industrial landscape!


Disclaimer: The content of this article is sourced from the internet. The copyright of the text, images, and other materials belongs to the original author. The platform reprints the materials for the purpose of conveying more information. The content of the article is for reference and learning only, and should not be used for commercial purposes. If it infringes on your legitimate rights and interests, please contact us promptly and we will handle it as soon as possible! We respect copyright and are committed to protecting it. Thank you for sharing.(Email:[email protected])